Practical Experiences Based on MIPSfpga

Document Type

Article

Publication Date

6-24-2017

Publication Title

Proceedings of the 19th Workshop on Computer Architecture Education

Volume

2017

First page number:

1

Last page number:

9

Abstract

In this paper we describe how to use MIPSfpga, a soft-core MIPS processor, to teach undergraduate and masters-level computer architecture courses. The most recent release of MIPSfpga (version 2.0), consists of three packages: the MIPSfpga Getting Started Guide, MIPSfpga Labs, and MIPSfpga System on Chip. After giving an overview of these packages, we provide examples of how to integrate MIPSfpga into curricula by describing three teaching experiences that used the MIPSfpga packages: an undergraduate course at the University Complutense of Madrid, a course at the Technical University of Darmstadt, and several seminars held at various Russian research centers and universities. MIPSfpga enabled students to bridge the gaps between theoretical concepts, hands-on practice, and industrial cores by allowing them to explore, modify, and test the MIPS core and system with the support of commercial compilers and tools.

Disciplines

Computer Engineering

Language

English

UNLV article access

Search your library

Share

COinS